Join today and have your say! It’s FREE!

Become a member today, It's free!

We will not release or resell your information to third parties without your permission.
Please Try Again
{{ error }}
By providing my email, I consent to receiving investment related electronic messages from Stockhouse.

or

Sign In

Please Try Again
{{ error }}
Password Hint : {{passwordHint}}
Forgot Password?

or

Please Try Again {{ error }}

Send my password

SUCCESS
An email was sent with password retrieval instructions. Please go to the link in the email message to retrieve your password.

Become a member today, It's free!

We will not release or resell your information to third parties without your permission.

Breaking & Recent News Applied Materials Inc AMAT

Applied Materials, Inc. is a materials engineering solutions provider. The Company provides manufacturing equipment, services and software to the semiconductor, display and related industries. Its segments include Semiconductor Systems, Applied Global Services (AGS), and Display and Adjacent Markets. Semiconductor Systems segment develops, manufactures and sells a range of manufacturing... see more

Recent & Breaking News (NDAQ:AMAT)

Applied Materials Announces Cash Dividend

GlobeNewswire June 8, 2023

Applied Materials Convenes Leaders from Industry, Academia and Government at "Summit to Advance Semiconductor Leadership"

GlobeNewswire May 22, 2023

Applied Materials Launches Multibillion-Dollar R&D Platform in Silicon Valley to Accelerate Semiconductor Innovation

GlobeNewswire May 22, 2023

Applied Materials to Participate in Upcoming Investor Conferences

GlobeNewswire May 19, 2023

Applied Materials Announces Second Quarter 2023 Results

GlobeNewswire May 18, 2023

Applied Materials Announces Q2 FY2023 Earnings Webcast

GlobeNewswire May 5, 2023

Applied Materials Increases Cash Dividend by 23.1 Percent and Announces New $10 Billion Share Repurchase Authorization

GlobeNewswire March 13, 2023

Applied Materials' New eBeam Metrology System Paves the Way to High-NA EUV Lithography

GlobeNewswire February 28, 2023

Applied Materials' Innovative Pattern-Shaping Technology Reduces the Cost, Complexity and Environmental Impact of Advanced Chip Manufacturing

GlobeNewswire February 28, 2023

Applied Materials to Participate at Morgan Stanley Technology, Media and Telecom Conference

GlobeNewswire February 22, 2023

Applied Materials Announces First Quarter 2023 Results

GlobeNewswire February 16, 2023

Applied Materials Announces Q1 FY2023 Earnings Webcast

GlobeNewswire February 2, 2023

Applied Materials to Extend Global Leadership in Semiconductor Manufacturing Technology

GlobeNewswire December 20, 2022

Applied Materials Breakthrough in Electron Beam Imaging Technology Accelerates Development of the World's Most Advanced Computer Chips

GlobeNewswire December 14, 2022

Applied Materials Awards Suppliers for Outstanding Performance

GlobeNewswire December 13, 2022

Applied Materials Announces Cash Dividend

GlobeNewswire December 12, 2022

Applied Materials Announces Fourth Quarter and Fiscal Year 2022 Results

GlobeNewswire November 17, 2022

Applied Materials to Participate at Wells Fargo TMT Summit

GlobeNewswire November 16, 2022

The National Institute for Innovation and Technology (NIIT) and Applied Materials Establish Texas' First Apprenticeship for Semiconductor Equipment Manufacturing

PR Newswire November 15, 2022

Applied Materials Announces Q4 and Fiscal 2022 Earnings Webcast

GlobeNewswire November 3, 2022